Functional coverage report

hello,
i have used this command to generate the coverage report in system verilog ‘vcover report -html -htmldir cov cover.ucdb’.
but I am getting the error since my cover.ucdb file is also generated before this and present in the same directory.
i am using questasim tool.
Error: (vcover-7) Failed to open UCDB file “cover.ucdb” in read mode.

In reply to Mittal Maru:

This forum is not for tool related issues. Please refer to your tool documentation or contact your vendor support team for additional assistance.