Facing warming on coverage syntax

I am facing following warming on my coverage code while compilation

Warning-[SV-ANDNMD] Argument names do not match
bist_coverage_collector.sv, 68
uvm_pkg, “obj”
The argument name ‘obj’ for class method
‘uvm_subscriber#($unit::bist_engine_sequence_item)::write’ in the derived
class does not match argument ‘t’ for class method in the base class at:
“/home/ip/shared/external/uvm/1.2/54853456/snps/2019.06-SP2-10-T-20210305/src/comps/uvm_subscriber.svh”,

Please check and correct the argument names.

This is the source code mentioned above

virtual function void write(bist_engine_sequence_item obj);
  `uvm_info(get_full_name(), $sformatf("SIGNAL Values : %s",obj.convert2string()), UVM_HIGH);
   
  pkt = new;
  pkt.copy(obj);
  
  basic_functions_cg.sample();
endfunction : write

This is the class decalration on top

class bist_coverage extends uvm_subscriber #(bist_engine_sequence_item);

I want to know why this warning pops up and want to avoid it from compilation though the code is error free

In reply to bachan21:

Check analysis port declaration in monitor/component where bist_coverage import is connected.

While declaring port need to define type of sequence item which will be transported through it.


https://www.linkedin.com/in/patel-rahulkumar/

In reply to Rahulkumar:

In reply to bachan21:
Check analysis port declaration in monitor/component where bist_coverage import is connected.
While declaring port need to define type of sequence item which will be transported through it.


https://www.linkedin.com/in/patel-rahulkumar/

Attaching the declaration for your ref.

uvm_analysis_port#(bist_engine_sequence_item) bist_mon_port;

The same class has been used to parameterize the connection. So i don’t think that’s the issue.

In reply to bachan21:

The error message told you exactly what the problem is. You named your write() argument obj, and it is expecting t. Since this is implementing a virtual method, the argument names need to match.