'Default' option is not qualified with ignore_bins. Any alternate option?

I have a below cover group:
com_wr_en(25 bits width) will have very few valid combinations. How do I specify to ignore the other values?
Though, I could use illegal_bins successfully, but read that we should avoid using illegal bins.
Questa tool throws below error for this : ignore_bins other_bins = default ;

“** at grp_ctrl_coverage.sv(50): (vlog-13080) Default bin ‘other_bins’ cannot be qualified with ignore. Default bins can only be of normal or illegal kind.”

Are there any alternate options to specify it? or I just specify bins for valid values and not bother about other combinations?


  covergroup grp_ctrl_cg;
    option.per_instance = 1;
    option.name = "GROUP CONTROL-1 coverage";
                                    
     // check if, wr_en will have just one bit set corresponding to group number.
    com_wr_en_cp      : coverpoint grp_ctrl_trans.com_wr_en { bins b1[] = {25'h1000000, 25'h0800000, 25'h0400000, 25'h0200000, 25'h0100000, 
                                                             25'h80000,   25'h40000,   25'h20000,   25'h10000,
                                                             25'h08000,   25'h04000,   25'h02000,   25'h01000, 
                                                             25'h800,     25'h400,     25'h200,     25'h100,
                                                             25'h80,      25'h40,      25'h20,      25'h10, 
                                                             25'h8,       25'h4,       25'h2,       25'h1 }; 
                                                   // LRM recommends to avoid illegal bins, hence the ignore bins
                                                       ignore_bins other_bins = default ;  }  // ignore bins can't be used wuth default
                                                      // illegal_bins other_bins = default ;  }  // all other combinations are illegal  
    :
    :
    :
                              
  endgroup : grp_ctrl_cg 

In reply to uvmsd:

There’s no need to do this. Only the bins you explicitly specify get counted.