Covergroup

Hi,

I have covergroup defined in scoreboard only, but when I generate html report of the coverage based on instances I see 3 instances hireachy of the covergroup. And it shows instance hireachy like uvm_pkg..
I didn’t able to understand why I am getting 3 different hierachical instances of covergroup and why covergroup instance is starting from uvm_pkg.
It’s a uvm tb environment.

Regards,
Ashok

In reply to Ashok.k:

It’s going to be difficult to help you without seeing any code or the report in question.