Coverage for a signal x[0:63][7:0]

I have a data signal x[0:63][7:0]. I want to measure coverage for this. This data is being generated randomly. So what i did was made 64 coverpoints and included 1 bin for each coverpoint.
for eg - covergroup packet;
coverpoint x[0] {
bins a1 = {[8’h00:8’hFF]};
}
like this way i made 64 coverpoints .
Is thing right ? Or there is some another way to do it ? Pleasae suggest me a method

In reply to sadhwani_sahil:
You need to be clearer with the requirements you need to cover. Assuming you have declared x as

bit [7:0] x [64];

There are 2512 combinations of values to cover.

In reply to dave_59:

I need to cover each 8 bits of x[0:63][7:0].
So what I thought is this

covergroup packet (ref bit data[0:63][7:0]);
  coverpoint data[i] {
    bins = {[8'h00:8'hFF]};
  }
  packet p[64];
  foreach(p[i])
    p[i]=new(x);

will it work ?

In reply to sadhwani_sahil:
Did you try it?