Coverage of sequences

Our DUT accepts packetized data with start of packet(SOP,!EOP), Middle of packet(!SOP,!EOP) and end of packet(!SOP,EOP) signalled using Input Interface signals. We have a collector which monitors the input interface and forms the high level packet transaction object. The transaction has fields like Packet_size, Payload, Interpacket delay, pkt_type(small, Medium, Large).

Now we would like to monitor a scenario in the coverage where there are ‘N’ number of medium size packets followed by ‘M’ small size packets with a delay between the range[min_delay, max_delay].

We are not clear on how we can define the coverage group and coverage points to monitor the above mentioned scenario.

In reply to Ugandhar:
Covergroups by themselves are not that good a collecting temporal coverage coverage. You are going to have create another transaction from monitoring your scenario that captures N, M and the delay. Then you can sample those values in a covergroup and cross them as needed.