COVERAGE IN OVM

In OVM where should i define my covergroup ? Should i write it inside a class or should i make a new class for it ?

In reply to sadhwani_sahil:

You have two more choices: in a package, or in a module/interface.

A lot depends on what it is you are trying to collect coverage for, and how re-usable you can make the covergroup for your testbench. The most common way I see is to write the covergroup as a separate class.