Coverage bins created for a range

Hi
I understand that for the below code, there will be a set of bins formed for the range,
so bin b1 will have 20 bins formed for each value from 0 to 19

logic [7:0] addr;
covergroup cg @(posedge clk);
 c1: coverpoint addr { bins b1[] = {[0:19]};
                       bins b2[] = {[20:29], [30:39],[40:49]}
                        bins b3 = default;}

but for b2 , how many bins will be created, as per how b1 bins are formed it should be one bin per value in the range
However i saw it verifguide website it will be 3 bins , one for 20:29 , one for 30:39 and one for 40:49.
This is a confusing. pls help

Thanks

In reply to theketi:

The page you saw has a mistake.
When using the dynamic bin array . one bin gets created for each value. Therefore b2 has 30 bins.

In reply to dave_59:

thanks for the quick reply

this is the page with incorrect bins example

probably need to notify them for correction. this confused me