Coverage bins across multiple array items

Hello Guys,

I am trying to write a SV coverage bins for following configuration.
I have a multi dim packed array say [7:0][31:0] Array. I want to generate coverage bins that covers all possible values for Array[0][7:3], Array[1][7:3], Array[2][7:3],Array[3][7:3]… Also, Each Array item is random and can be changing during simulation.

Can anyone advice me on what would be the best way to write coverage under this scenario?

In reply to Priyank Solanki:

I assume “all possible values” means for each Array index individually, not all possible combinations of values of all index values. You can write an array of covergroups

covergroup cg(int i);
  option.per_instance = 1;
  cp : coverpoint Array[i][7:3];
emdgroup

cg cgi[];

cgi=new[8];
foreach (cgi[i]) cgi[i] = new(i);