Can the same covergroup be used for two different input signals?

Hi,

I have a covergroup instantiated in a subscriber. I want to use the same subscriber for getting coverage for two different inputs coming from two UVM agents which are connected to the subscriber in the environment. However I observe that in the coverage report, coverage is generated only for the first agent and the other one is simply ignored. Is there a way I can get the coverage result for the other one as well by using the same subscriber and covergroup.

Thanks in advance.

In reply to dbhat100:
The default is to get coverage collected per type. Change option.per_instance=1; in the covergroup declaration to get individual per instance coverage.