Can default bins be termed as illegal bins?

Hi,

I have a coverpoint for a random variable.
The variable is valid only for few values, say A, B ,C ,D. and is illegal for the rest of the values.

So i declare the bins in the following way:


bin_a = {A},
bin_b = {B},
bin_c = {C},
bin_d = {D},
bin_others = default,

Can I specify this default bin to be illegal?

like


illegal_bins bin_others = default

LRM specifies that default bins cant be ignore bins. But nothing has been specified about illegal bins.

Any help is appreciated.
Thanks

I don’t see anything that forbids it.