Bin should be hit based on how frequent it is occured

Intention - : Can be explined based on basic snippet-:
Example - There are multiple explicit bins in a coverpoint as shown
Bin a should be hit, only if y=2 value comes atleast 5 times at a sampling event (here posedge clk),otherwise it should show up not-hit.

covergroup cg @ (posedge clk) ;
cover_point_y : coverpoint y {
bins a = {2};
bins b = {4};
bins c = {6};
bins d = {8};
}
endgroup

In reply to Harjot:

Section 19.7 of the LRM describes various options you can apply to a covergroup/coverpoint.

In your case, you can specify an ‘at_least’ value to bin ‘a’ to require 5 hits to be considered covered.