Verification Academy July 2011 News Update

<body>

View this news update.





Academy SME’s Corner

(SME - Subject Matter Expert)



This month, I am excited to announce that the Verification Academy is being expanded to serve the growing information requirements of companies adopting the Universal Verification Methodology (UVM) and the Open Verification Methodology (OVM). The new Verification Academy now includes a broader access to UVM/OVM information, including the active ‘forum’ that had previously been located on OVMworld.org. With this change, we are now providing you a single access point for rich content, self-paced training, current information and engineer-to-engineer interaction on everything related to the functional verification of IC designs. Plus, you’ll find industry experts in all facets of functional verification as regular contributors to the Verification Academy UVM/OVM Portal as well as serve as moderators for portal real-time discussions.


But that’s not all! We have now released the new UVM/OVM Online Methodology Cookbook on the Verification Academy UVM/OVM portal. This is the first comprehensive interactive textbook for verification engineers. Covering both UVM and OVM, the Cookbook provides detailed descriptions, guidelines and coding examples for all aspects of verification IP and testbench design making optimal use of the methodologies.



Our vision for the Verification Academy is to provide the necessary skills that enable our customers to take advantage of today’s latest, advanced functional verification techniques. With industry adoption of the Accellera UVM standard expected to increase by 233% within the next twelve months, our new Verification Academy UVM/OVM Portal is both timely and aligns well with the Verification Academy’s vision. In addition, our just released Verification Academy Intelligent Testbench Automation (iTBA) module provides a wonderful introduction to the latest state-of-the-art, advanced functional verification techniques.



- Harry Foster




Harry Foster is the Lead Verification Academy Subject Matter Expert (SME) and a Chief Verification Scientist at Mentor Graphics.



-------------------------------------

Academy Forums

Have UVM/OVM or SystemVerilog question? Post it in the Academy Forums and our Verification Methodologists will answer it.

UVM/OVM Cookbook

Looking for more information on hierarchical sequence generation? Login and check out the UVM/OVM Online Cookbook sequence articles.

Academy Contact Us

Have an account login question? Need to merge accounts? Send us an email, call us or even start a live chat - we're here to help. Contact us!

 

Academy Spotlight - New Web Seminar Series!

UVM/OVM Recipe of the Month

The UVM provides the first industry-standard verification methodology, giving engineers the means to develop modular, reusable verification IP and testbenches independently and be assured that they will work together. Whether components were developed by the same team, or by different companies across the world, the infrastructure and guidelines provided by the UVM guarantee interoperability.

Mentor Graphics has been the technical leader in UVM from its inception, and we created the UVM/OVM Online Methodology Cookbook as a resource for verification engineers to be constantly up to date on the latest uses and applications of UVM. We created this online seminar series to share specific pieces of the Verification Cookbook with you in a little more detail.

This series of online seminars will show you how to create the pieces you need and integrate them to solve your particular verification problem.

Cookbook recipes include:

  • OVM to UVM Migration - August 11th
    A step-by-step discussion of how to migrate your OVM code to UVM, including running the transition script, known differences between OVM and UVM and additional steps to take advantage of the new features offered in UVM.
  • Sequence Layering - September 15th
    Many protocols have a hierarchical definition, and sometimes we may want to create a protocol-independent layer on top of a standard protocol to support the development of protocol-independent components and tests. This session will show how to deconstruct sequence items and sequences across the protocol hierarchy and how to encapsulate each layer to preserve reuse.
  • Intro to UVM Registers - October 13th
    The inclusion of the Register Layer was one of the most requested features of UVM. This session will provide an introduction to the Register Layer and show you how to get started writing tests and sequences and checking results at the register layer. We will also show how to use the UVM Register Layer as a standalone package with OVM 2.1.2.

Learn from the contributing authors of the UVM/OVM Online Cookbook and register for all three recipes.

------------------------------------------------------------------------------

Verification Horizons Blog - Welcome OVM World!

Earlier this month, the OVM World website was successfully integrated into the Verification Academy. Tom Fitzpatrick recently wrote in the Verification Horizons Blog,

"I am pleased to announce that Mentor Graphics has recently expanded Verification Academy to provide a "one-stop shop" for all your UVM/OVM and general verification information needs. As you may have noticed, the OVMWorld.org website has now been redirected to the Verification Academy and all of the content has been preserved, albeit reorganized a bit."

Read the entire blog post.

More recently, Dennis Brophy published a blog entitled, "Going from Standards Development to Standards Practice"

"Mentor stepped into the breach and has brought OVM into a strong, user-centric home that preserves the OVM World openness and augments it with several levels of additional user benefits in the Verification Academy. It also joins OVM and UVM in a single location that would not be appropriate in a standards body. After all, UVM is the standard from Accellera, not OVM. The Verification Academy also opens the cross pollination of ideas between the OVM and UVM users so one group can learn from another. We also brought the SystemVerilog User Group (SVUG) into the forum as well since OVM and UVM are based on the SystemVerilog language."

Read the entire blog post and access the rest of the Verification Horizons Blog.

------------------------------------------------------------------------------

Featured Functional Verification Events

The following events are open for registration:

Debug Productivity with Questa

Advance Debug Hands-on Workshop

Improving Quality and Time-to-Market with Formal Verification

Questa CDC - Verifying CDC Reconvergence

View the entire Functional Verification event calendar!