UVM 1.1 Register Package Available for OVM 2.1.2

See full details at go.mentor.com/t41e

One of the major features Accellera set to add to OVM was a standardized register package. Mentor made a contribution that worked with OVM, but left it behind to collaborate with Synopsys to make VMM’s RAL the register package for UVM. This has now become the approved standard register package for UVM. We think it is time for OVM users to start to use the UVM Register package too!

With this contribution, Mentor now makes the UVM 1.1 Register package available to OVM 2.1.2 users. You can find it in the OVM Contributions area here.

The code you write to use the UVM Register package in OVM will work in UVM too when you make the eventual migration to UVM with just a simple change to how you reference it. The link at the top explains more of that in detail.

UVM is a great thing to migrate to, but if you are in the middle of a project, this should help you start to use the new features of UVM sooner.