September UVM Recipe: C Based Stimulus for UVM

Join Academy Subject Matter Expert, Tom Fitzpatrick for the September UVM Recipe of the Month - C Based Stimulus for UVM.

Overview:

This seminar describes a technique in which C stimulus can be applied to the DUT via an existing UVM testbench that contains one or more bus agents. The approach used is to add a C register read/write API for use by C source code, which calls tasks in a SystemVerilog package via the SystemVerilog DPI mechanism to enable the C to make register accesses via the UVM testbench bus agents. The API enables c code to be compiled and then run on the host workstation during the simulation of a UVM environment.

In addition - if you are already an Academy Total Access member, get a head start on C Based Stimulus in the UVM/OVM Methodology Online Cookbook.

Watch and Learn:

  • Review of a register-level testbench architecture.
  • Tradeoffs associated with C stimulus alternatives.
  • How to extend your environment to accept C stimulus.
  • How to use the c_stimulus_pkg to use C code as stimulus in your environment.
View C Based Stimulus for UVM.